summaryrefslogtreecommitdiff
path: root/ps2.c
diff options
context:
space:
mode:
authortmk <nobody@nowhere>2011-01-17 21:51:51 +0900
committertmk <nobody@nowhere>2011-01-23 04:10:35 +0900
commitbf1a37ba712b3c42861e7cfabb174724791b41b6 (patch)
treef6df1afe42e3421ae3646fb981727f2e5aa1c3e9 /ps2.c
parent7ad93f7850cd3d20260628b10a8b123d97736e4e (diff)
PS/2 to USB keyboard converter
Diffstat (limited to 'ps2.c')
-rw-r--r--ps2.c4
1 files changed, 2 insertions, 2 deletions
diff --git a/ps2.c b/ps2.c
index a388b74892..dd5b24129f 100644
--- a/ps2.c
+++ b/ps2.c
@@ -149,7 +149,7 @@ uint8_t ps2_host_recv(void)
bool parity = true;
ps2_error = 0;
- /* cancel to sync */
+ /* terminate a transmission if we have */
clock_lo();
_delay_us(100);
@@ -158,7 +158,7 @@ uint8_t ps2_host_recv(void)
data_hi();
/* start bit [1] */
- WAIT(clock_lo, 20000, 1);
+ WAIT(clock_lo, 2000, 1); // How long should we wait?
WAIT(data_lo, 1, 2);
WAIT(clock_hi, 50, 3);