summaryrefslogtreecommitdiff
path: root/keyboards/viendi8l
diff options
context:
space:
mode:
Diffstat (limited to 'keyboards/viendi8l')
-rw-r--r--keyboards/viendi8l/viendi8l.c11
1 files changed, 0 insertions, 11 deletions
diff --git a/keyboards/viendi8l/viendi8l.c b/keyboards/viendi8l/viendi8l.c
index 6d52993342..4d0257f0dd 100644
--- a/keyboards/viendi8l/viendi8l.c
+++ b/keyboards/viendi8l/viendi8l.c
@@ -39,14 +39,3 @@ layer_state_t layer_state_set_kb(layer_state_t state) {
}
return state;
}
-
-#ifdef ENCODER_ENABLE
-bool encoder_update_kb(uint8_t index, bool clockwise) {
- if(!encoder_update_user(index, clockwise)) return false;
- if (index == 0) {
- if (clockwise) tap_code_delay(KC_VOLU, 10);
- else tap_code_delay(KC_VOLD, 10);
- }
- return true;
-}
-#endif