summaryrefslogtreecommitdiff
path: root/keyboards/keychron/v6
diff options
context:
space:
mode:
Diffstat (limited to 'keyboards/keychron/v6')
-rw-r--r--keyboards/keychron/v6/v6.c14
1 files changed, 0 insertions, 14 deletions
diff --git a/keyboards/keychron/v6/v6.c b/keyboards/keychron/v6/v6.c
index 02708e7bc1..0f9305d2d0 100644
--- a/keyboards/keychron/v6/v6.c
+++ b/keyboards/keychron/v6/v6.c
@@ -98,17 +98,3 @@ bool rgb_matrix_indicators_advanced_kb(uint8_t led_min, uint8_t led_max) {
}
#endif // RGB_MATRIX_ENABLE...
-
-#ifdef ENCODER_ENABLE
-bool encoder_update_kb(uint8_t index, bool clockwise) {
- if (!encoder_update_user(index, clockwise)) { return false; }
- if (index == 0) {
- if (clockwise) {
- tap_code_delay(KC_VOLU, 10);
- } else {
- tap_code_delay(KC_VOLD, 10);
- }
- }
- return true;
-}
-#endif